Welcome![Sign In][Sign Up]
Location:
Search - EEPROM verilog

Search list

[Other resourceCpldandEepromI2c

Description: verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
Platform: | Size: 447472 | Author: 丁明 | Hits:

[Other resourceIIC-EEPROM

Description: 用verilog实现了IIC接口与EEPROM存储器的接口设计,非常实用
Platform: | Size: 9825 | Author: zhangyanbo | Hits:

[Other resourceeeprom

Description: eeprom的Verilog HDL源代码,含eeprom的读写!Quartus II5.0平台测试通过!
Platform: | Size: 521016 | Author: 陈锋 | Hits:

[SCMSTC89C51RCRD+系列内部 EEPROM 放数据(C语言) _c

Description: STC89C51RCRD+系列内部 EEPROM存放数据(C语言),此款单片机可是物美价廉啊!!! -STC89C51RCRD series of internal EEPROM data storage (C language) says the Catholic SCM But ah! ! !
Platform: | Size: 5120 | Author: 经济学 | Hits:

[VHDL-FPGA-VerilogCpldandEepromI2c

Description: verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
Platform: | Size: 447488 | Author: 丁明 | Hits:

[Other Embeded programIIC-EEPROM

Description: 用verilog实现了IIC接口与EEPROM存储器的接口设计,非常实用-Using Verilog realize the IIC interface with the EEPROM memory interface design, very useful
Platform: | Size: 9216 | Author: zhangyanbo | Hits:

[VHDL-FPGA-Verilogtoshiba

Description: TOSHIBA公司的射频卡VERILOGHDL代码 包括TOP 顶层文件,MAIN主要控制文件,EEPROM存储单元文件-TOSHIBA s RF card VERILOGHDL including the TOP code top-level document, MAIN main control file, EEPROM memory cell paper
Platform: | Size: 8601600 | Author: liangtao | Hits:

[VHDL-FPGA-Verilogeeprom

Description: eeprom的Verilog HDL源代码,含eeprom的读写!Quartus II5.0平台测试通过!-EEPROM of the Verilog HDL source code, including reading and writing EEPROM! Quartus II5.0 platform test!
Platform: | Size: 521216 | Author: | Hits:

[VHDL-FPGA-Verilogan485_design_example

Description: AN485_CH-MAX II CPLD 中的串行外设接口主机(verilog SPI)
Platform: | Size: 312320 | Author: zhiqiang | Hits:

[VHDL-FPGA-VerilogEEPROM_RD_WR

Description: 本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。-This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (eeprom_wr.v), signal generator module (signal.v) and top-level module (top.v), this can have a EEPROM complete control module and test document, this document is to pass the test.
Platform: | Size: 109568 | Author: | Hits:

[VHDL-FPGA-Verilogverilog

Description: 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some of the I2C bus function, and can be carried out through the bus, read and write operations on the AT24C02. To read and write eeprom in order to facilitate observation of the results, we will read and write data simultaneously displayed in the seven-segment digital tube, and set read and write data from 0 to 255 in cycles, so that can be easily compared.
Platform: | Size: 8192 | Author: andy | Hits:

[Embeded-SCM Developi2c_model.tar

Description: I2C EEPROM verilog simulation model
Platform: | Size: 634880 | Author: Ravi | Hits:

[VHDL-FPGA-Verilogeeprom_i2c.tar

Description: I2C EEPROM verilog simulation model
Platform: | Size: 634880 | Author: Ravi | Hits:

[VHDL-FPGA-Verilogeeprom

Description: EEPROM模块源代码,希望对大家有用,方便交流-EEPROM model
Platform: | Size: 2048 | Author: zhao | Hits:

[Otherrtl

Description: SPI verilog RTL code
Platform: | Size: 5120 | Author: china | Hits:

[VHDL-FPGA-VerilogSPI_Core.ZIP

Description: SPI协议的VHDL/Verilog语言实现。-SPI agreement VHDL/Verilog language.
Platform: | Size: 13312 | Author: qjyong | Hits:

[VHDL-FPGA-Verilogeeprom

Description: VERILOG实际例程,非常适合初学者学习-VERILOG the actual routine, ideal for beginners to learn
Platform: | Size: 521216 | Author: 王林 | Hits:

[VHDL-FPGA-VerilogEEPROM

Description: EEPROM verilog仿真模块,用于测试I2C接口-EEPROM verilog simulation module
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-VerilogIIC读写EEPROM发送到PC串口

Description: 能实现用IIC读EEPROM并且将读取的数据通过串口发送到PC端,以及在PC端通过串口发送数据给FPGA,再利用IIC将数据写入EEPROM(The program can realize that FPGA read the data from EEPROM by IIC and then send it to PC by UART,and that PC send the data to FPGA by UART and then write the data to EEPROM by IIC)
Platform: | Size: 246784 | Author: lml_234 | Hits:

[Othereeprom

Description: 亲自编写并测试通过的 E2PROM 的Verilog代码,由于仅是研究学习之用,功能可以自己添加,继续完善。(The Verilog code of E2PROM is written and tested by itself. As a result of research and learning, functions can be added and perfected.)
Platform: | Size: 1024 | Author: mountaintaishan | Hits:
« 12 3 4 »

CodeBus www.codebus.net